1. gzyueqian
      13352868059
      首頁 > 新聞中心 > > 正文

      基于CPLD的一種容錯狀態機的設計

      更新時間: 2008-04-15 10:06:10來源: 粵嵌教育瀏覽量:837

        狀態機是一種十分重要的時序電路,常用于描述數字系統的控制單元,在數字系統有著廣泛的應用,如步進電機、數據存儲器的讀寫、總線控制器等。本文結合具體課題;采用ALTERA的CPLD器件EPM7032實現了一種容錯的狀態機;采用VHDL語言編程,實際使用效果良好,不僅調試方便,而且增加了系統配置的靈活性,大大縮短了系統開發周期。

      1 狀態機的真值表及狀態轉移
        該狀態機是一種Moore型的,其真值表如表1。
                    
        該狀態機編碼方式采用順序方式,共采用4位編碼。這樣就存在兩個非法的狀態(即在狀態機中,未定義的狀態);采用該編碼方式與一位有效編碼方式相比,大大減少了非法狀態的存在。
        狀態轉移圖如圖1所示。
        

      2 VHDL的描述
        采用VHDL語言描述時、主要應包括:狀態變量、時鐘、狀態轉移指定、輸出指定、復位信號等幾個方面。
      (1)狀態機的狀態變量定義如下:
      TYPE StateTYPE is (idle, deci, reci1, reci2, reci3,reci4 ,out1,out2,out3,out4,out5,out6,out7,out8);
      signal present_state,next_state:statetype;
      signal codin:std_logic_vector(3 downto 0);
      (2)狀態機的部分狀態轉移指定:
      statedesc: process (reset, data, present_state, burst,ou_rec,ready)
      begin
      .........................
      Case present_state is
      When idle=>codin<="0000";
      If(data="11111111")then next_state<=deci;
      Else
      Next_state<=idle;
      end if;
      ..............
      When out1=>codin<="0110";
      If(ready=' 0' )then
      Next_state<=out1;
      Elsif (burst=' 0' )then next_state<=idle;
      Else next_state<=out2;
      end if;
      ...............
      (3)狀態機的輸出指定
        狀態機的輸出需要對codin進行譯碼才能輸出,輸出指定放在另一個進程(在該進程中同時還實現次態到現態的轉化)中, VHDL的描述為:
      state-change:process(clk)
      begin
      case codin is
      when "0000"=> get<=' 0' ;we<=' 0' ;addr<="000";
      ...............................................
      when"1101"=>get<=' 1' ;we<=' 0' ;addr< ="111";
      when others= >get< = ' 0' ;we< = ' 0' ;addr< ="000";
      end case;
      end process state-change;

      3 糾錯功能的實現
        在實際的工作當中,由于硬件電路中,干擾、鐘擺、噪聲、電源的變化等都可能使觸發器翻轉,從而使狀態機誤入非法狀態。一旦出現這種情況將無法預見系統的反應,可能會出現狀態鎖死、系統非法輸出;信號沖突,過大的拉電流和灌電流,毀壞系統。
        在進行容錯設計時,首先在枚舉類型的狀態定義中定義所有的非法狀態。因此狀態定義改為:
        TYPE StateTYPE is (idle, deci, reci1, reci2, reci3,reci4, out1,out2,out3, out4,out5,out6,out7,out8,undefine1,undefine2);
      ,需要定義轉移出非法狀態的代碼。程序片段如下:
      ..................
      case present_state is
      .................
      when others =>next_state <=idle,err<=' 1' ;
      end case;
        另外在進程的設計時,還需要充分注意信號的同步問題,這樣才能避免競爭冒險的問題。進行容錯設計,雖然犧牲了資源,但卻可獲得了高可靠的系統。

      4 結束語
        實踐證明使用可編程邏輯器件可以非常方便地實現狀態機。而采用VHDL語言設計,不僅描述方便,而且具有良好的可移植性,可以非常方便地應用于其它公司的CPLD,FPGA芯片中。實際使用中該芯片運行良好,同時可以隨時對芯片內部邏輯進行在線更改,體現了可編程邏輯器件的優越性。

      免費預約試聽課

      亚洲另类欧美综合久久图片区_亚洲中文字幕日产无码2020_欧美日本一区二区三区桃色视频_亚洲AⅤ天堂一区二区三区

      
      

      1. 一区二区国产精品密桃 | 精品久久综合婷婷网 | 香蕉国产精品网站 | 亚洲日韩高清AⅤ在线观看 在线免费看片一区二区 | 亚洲香蕉午夜视频 | 亚洲中文久久字幕 |